小梅哥和你一起深入學(xué)習FPGA之數碼管動(dòng)態(tài)掃描(下)

發(fā)布時(shí)間:2016-2-17 08:39    發(fā)布者:designapp
關(guān)鍵詞: FPGA , 動(dòng)態(tài)掃描
  


  測試平臺設計
  本實(shí)驗主要對數碼管驅動(dòng)引腳的狀態(tài)與預期進(jìn)行比較和分析,通過(guò)仿真,驗證設計的正確性和合理性。數碼管驅動(dòng)模塊的testbench如下所示:
  `timescale 1ns/1ns
  module DIG_LED_DRIVE_tb;
  reg [23:0]data;
  reg clk;
  reg rst_n;
  wire [7:0]seg;
  wire [2:0]sel;
  DIG_LED_DRIVE DIG_LED_DRIVE_inst1(
  .Data(data),
  .Clk(clk),
  .Rst_n(rst_n),
  .Dig_Led_seg(seg),
  .Dig_Led_sel(sel)
  );
  initial begin
  data = 0;
  clk = 1;
  rst_n = 0;
  #200;
  rst_n = 1;
  data = 24'h012345;
  #10000;
  data = 24'h518918;
  #10000;
  data = 24'h543210;
  #10000;
  $stop;
  end
  always #10 clk = ~clk;
  endmodule
  每隔一段時(shí)間,更換數碼管的Data輸入數據,觀(guān)察數碼管的輸出是否正確。
  仿真分析
  具體的仿真結果小梅哥就不一一給大家分析了,讀者只需要對著(zhù)圖和代碼中的編碼比較,便能獲知設計的正確性。
  下板驗證
  手頭暫無(wú)開(kāi)發(fā)板,板級驗證略。
  過(guò)段時(shí)間等小梅哥有了自己的開(kāi)發(fā)板,再來(lái)補上板級驗證結果。
                               
               
本文地址:http://selenalain.com/thread-160789-1-1.html     【打印本頁(yè)】

本站部分文章為轉載或網(wǎng)友發(fā)布,目的在于傳遞和分享信息,并不代表本網(wǎng)贊同其觀(guān)點(diǎn)和對其真實(shí)性負責;文章版權歸原作者及原出處所有,如涉及作品內容、版權和其它問(wèn)題,我們將根據著(zhù)作權人的要求,第一時(shí)間更正或刪除。
您需要登錄后才可以發(fā)表評論 登錄 | 立即注冊

相關(guān)視頻

關(guān)于我們  -  服務(wù)條款  -  使用指南  -  站點(diǎn)地圖  -  友情鏈接  -  聯(lián)系我們
電子工程網(wǎng) © 版權所有   京ICP備16069177號 | 京公網(wǎng)安備11010502021702
快速回復 返回頂部 返回列表
午夜高清国产拍精品福利|亚洲色精品88色婷婷七月丁香|91久久精品无码一区|99久久国语露脸精品|动漫卡通亚洲综合专区48页